2015-12-01から1ヶ月間の記事一覧

TD8

単純に8bit化(レジスターとバス、アドレスを拡張する)をした。IN/OUTも8bit幅にしたので、独自に実装していたクロックカウンター表示機能は外すことに。また、プログラム側も変更しています。というのもレジスター幅が増えレジスターの最大値が増えた(15->2…

TD4の拡張検討とTD8化

今年もあとわずかだけど、どんな案があるのか調べてみた。 8bit化(レジスターとバス、アドレスを拡張する):http://hexprobe.nbug.net/hard:cpu:td4 命令追加 RAMを実装 ROMをブロックRAMで実装する(FPGA限定) 単純な8bit化は簡単そうなので試してみた。

TD4をverilogで実装しMachXO2(Lattice)で動作させる

FPGAとverilogの勉強がてら『CPUの創りかた』の 4 ビット CPU TD4を動かしてみる事にした。MachXO2のボードを使うメリットは、追加の部品0(LED等を半田付けする必要なし)でtd4の主要機能が動かせること。とても楽だし難易度が低い。ほぼコピペ(参考:CPU…